Tcl Command Reference Guide - Xilinx

Vivado Design Suite Tcl Command Reference Guide

UG835 (v2019.1) May 22, 2019

See all versions of this document

Revision History

Revision History

The following table shows the revision history for this document:

Section

05/15/2018 v2019.1

get_assessment_score, read_qor_suggestions, write_qor_suggestions, config_implementation, get_qor_suggestions, write_dsa_metadata, write_abstract_shell, get_bd_regs, report_config_implementation

get_ports, report_ram_utilization, report_design_analysis, opt_design, report_exceptions, connect_bd_intf_net, write_hw_ila_data, get_ips, assign_bd_address, config_timing_analysis, report_disable_timing, read_iphys_opt_tcl, report_qor_suggestions, iphys_opt_design, report_control_sets, setup_ip_static_library

write_dsa_rom

Revision Summary Commands Added in 2019.1 Commands Modified in 2019.1

Commands Removed in 2019.1

UG835 (v2019.1) May 22, 2019 Tcl Command Reference Guide

Send Feedback

2

Chapter 1

Introduction

Overview of Tcl Capabilities in Vivado

The Tool Command Language (Tcl) is the scripting language integrated in the Vivado? tool environment. Tcl is a standard language in the semiconductor industry for application programming interfaces, and is used by Synopsys? Design Constraints (SDC).

SDC is the mechanism for communicating timing constraints for FPGA synthesis tools from Synopsys Synplify as well as other vendors, and is a timing constraint industry standard; consequently, the Tcl infrastructure is a "Best Practice" for scripting language.

Tcl lets you perform interactive queries to design tools in addition to executing automated scripts. Tcl offers the ability to "ask" questions interactively of design databases, particularly around tool and design settings and state. Examples are: querying specific timing analysis reporting commands live, applying incremental constraints, and performing queries immediately after to verify expected behavior without re-running any tool steps.

The following sections describe some of the basic capabilities of Tcl with Vivado.

Note: This manual is not a comprehensive reference for the Tcl language. It is a reference to the specific capabilities of the Vivado Design Suite Tcl shell, and provides reference to additional Tcl programming resources.

Launching the Vivado Design Suite

You can launch the Vivado Design Suite and run the tools using different methods depending on your preference. For example, you can choose a Tcl script-based compilation style method in which you manage sources and the design process yourself, also known as Non-Project Mode. Alternatively, you can use a project-based method to automatically manage your design process and design data using projects and project states, also known as Project Mode. Either of these methods can be run using a Tcl scripted batch mode or run interactively in the Vivado IDE. For more information on the different design flow modes, see the Vivado Design Suite User Guide: Design Flows Overview (UG892).

UG835 (v2019.1) May 22, 2019 Tcl Command Reference Guide

Send Feedback

3

Chapter 1: Introduction

Tcl Shell Mode

If you prefer to work directly with Tcl commands, you can interact with your design using Tcl commands with one of the following methods:

? Enter individual Tcl commands in the Vivado Design Suite Tcl shell outside of the Vivado IDE.

? Enter individual Tcl commands in the Tcl Console at the bottom of the Vivado IDE.

? Run Tcl scripts from the Vivado Design Suite Tcl shell.

? Run Tcl scripts from the Vivado IDE.

Use the following command to invoke the Vivado Design Suite Tcl shell either at the Linux command prompt or within a Windows Command Prompt window:

vivado -mode tcl

TIP: On Windows, you can also select StartAll ProgramsXilinx Design ToolsVivado yyyy.xVivado yyyy.x Tcl Shell, where "yyyy.x" is the installed version of Vivado.

For more information about using Tcl and Tcl scripting, see the Vivado Design Suite User Guide: Using the Tcl Scripting Capabilities (UG894). For a step-by-step tutorial that shows how to use Tcl in the Vivado tool, see the Vivado Design Suite Tutorial: Design Flows Overview (UG888).

Tcl Batch Mode

You can use the Vivado tools in batch mode by supplying a Tcl script when invoking the tool. Use the following command either at the Linux command prompt or within a Windows Command Prompt window:

vivado -mode batch -source

The Vivado Design Suite Tcl shell will open, run the specified Tcl script, and exit when the script completes. In batch mode, you can queue up a series of Tcl scripts to process a number of designs overnight through synthesis, simulation, and implementation, and review the results on the following morning.

Vivado IDE Mode

You can launch the Vivado Design Suite and run the tools using different methods depending on your preference. For example, you can choose a Tcl script-based compilation style method in which you manage sources and the design process yourself, also known as Non-Project Mode. Alternatively, you can use a project-based method to automatically manage your design process and design data using projects and project states, also known as Project Mode. Either of these methods can be run using a Tcl scripted batch mode or run interactively in the Vivado IDE. For more information on the different design flow modes, see the Vivado Design Suite User Guide: Design Flows Overview (UG892).

UG835 (v2019.1) May 22, 2019 Tcl Command Reference Guide

Send Feedback

4

Chapter 1: Introduction

If you prefer to work in a GUI, you can launch the Vivado IDE from Windows or Linux. For more information on the Vivado IDE, see the Vivado Design Suite User Guide: Using the Vivado IDE (UG893).

Launch the Vivado IDE from your working directory. By default the Vivado journal and log files, and any generated report files, are written to the directory from which the Vivado tool is launched. This makes it easier to locate the project file, log files, and journal files, which are written to the launch directory.

In the Windows OS, select StartAll ProgramsXilinx Design ToolsVivado yyyy.xVivado yyyy.x Tcl Shell, where "yyyy.x" is the installed version of Vivado.

TIP: You can also double-click the Vivado IDE shortcut icon on your Windows desktop.

In the Linux OS, enter the following command at the command prompt:

vivado -or- vivado -mode gui

If you need help, with the Vivado tool command line executable, type:

vivado -help

If you are running the Vivado tool from the Vivado Design Suite Tcl shell, you can open the Vivado IDE directly from the Tcl shell by using the start_gui command. From the Vivado IDE, you can close the Vivado IDE and return to a Vivado Tcl shell by using the stop_gui command.

Tcl Journal Files

When you invoke the Vivado tool, it writes the vivado.log file to record the various commands and operations performed during the design session. The Vivado tool also writes a file called vivado.jou which is a journal of just the Tcl commands run during the session. The journal file can be used as a source to create new Tcl scripts.

Note: Backup versions of the journal file, named vivado_.backup.jou, are written to save the details of prior runs whenever the Vivado tool is launched. The is a unique identifier that allow the tool to create and store multiple backup versions of the log and journal files.

Tcl Help

The Tcl help command provides information related to the supported Tcl commands. ? help ? Returns a list of Tcl command categories.

help

UG835 (v2019.1) May 22, 2019 Tcl Command Reference Guide

Send Feedback

5

................
................

In order to avoid copyright disputes, this page is only a partial summary.

Google Online Preview   Download