SystemVerilog Is Getting Even Better!
嚜澳AC 2009 SystemVerilog-2009 Presentation
by Sunburst Design, Beaverton, Oregon, ? 2009
1 of 59
SystemVerilog Is Getting Even Better!
An Update on the Proposed 2009 SystemVerilog Standard
Part 1
Presented by
Clifford E. Cummings
Stuart Sutherland
Sunburst Design, Inc.
Sutherland HDL, Inc.
cliffc@sunburst-
sunburst-
sponsored by
stuart@sutherland-
sutherland-
? 2009, Sunburst Design, Inc.
50+ Major Enhancements in
SystemVerilog-2009#
2 of 59
? Part 1:
Q
Cliff Cummings of Sunburst Design presents the details on the
major new features in SystemVerilog-2009 that involve
hardware models and testbench models
Q
papers/
DAC2009_SystemVerilog_Update_Part1_SunburstDesign.pdf
? Part 2:
Q
Stu Sutherland of Sutherland HDL presents the details on the
major new features in SystemVerilog-2009 that involve
SystemVerilog Assertions
Q
papers/
DAC2009_SystemVerilog_Update_Part2_SutherlandHDL.pdf
? 2009, Sunburst Design, Inc.
1 of 30
Rev 1.1
DAC 2009 SystemVerilog-2009 Presentation
by Sunburst Design, Beaverton, Oregon, ? 2009
3 of 59
Cliff Cummings
and Sunburst Design
? Verilog/SystemVerilog/Synthesis Trainer & Contractor
? Accellera & IEEE 1800 SystemVerilog Committees
? IEEE 1364 Verilog Standards Groups (VSG)
每 Chair of the Behavioral Task Force (Enhancements & Synthesis)
? IEEE 1364.1 Verilog Synthesis Interoperability Group
? Authored more than 40 technical papers
每 includes 17 "Best Paper" awards
? Verilog instructor for 17 years
papers
SystemVerilog instructor
for 6 years
每 Synthesis instructor for 15 years
每 Provides the absolute best Verilog and SystemVerilog training!
Stu is a
close 2nd !!
? Tektronix, FPS, IBM - board, FPGA & ASIC design & Test Lab
? MSEE-Oregon State Univ. / BSEE-BYU
? 2009, Sunburst Design, Inc.
4 of 59
Acknowledgement & Disclaimer
? Acknowledgements
每 Our thanks to Shalom Bresticker and Brad Pierce
(Emails) SystemVerilog &
Verilog LRM expert
... both compiled lists
of enhancements
sv-bc/hm/8983.html
? Disclaimer
每 Cliff & Stu have made every attempt to show legal
SystemVerilog-2009 examples
Not all enhanced features
can be tested at this time
No guarantees !!
? 2009, Sunburst Design, Inc.
2 of 30
Rev 1.1
DAC 2009 SystemVerilog-2009 Presentation
by Sunburst Design, Beaverton, Oregon, ? 2009
5 of 59
The SystemVerilog Mantis Database
? The Mantis database contains corrections, clarifications &
enhancement descriptions for SystemVerilog-2009
Current errata & proposed enhancements
guest
Login:
Password: guest
? svdb
? Mantis Item numbers are noted on appropriate slides
? Mantis items details can be viewed in the Mantis database
After logging in ...
Enter Issue # ...
... then select the
Jump button
890
? 2009, Sunburst Design, Inc.
6 of 59
Scheduling of New SV Commands
Mantis 890
From previous
time slot
#1step
Preponed
Active
Inactive
Used for sampling &
verifying DUT outputs
NBA
(testbench inputs)
Regions for new
SV commands
New event scheduling
(already implemented)
Active region
set
Evaluate concurrent
assertions
Observed
Trigger clocking blocks
Reactive
Reactive region
set
Re-Inactive
Update to
IEEE1800-2005
Standard
Region for new
SV commands
Re-NBA
Postponed
? 2009, Sunburst Design, Inc.
Execute
pass/fail assertion code
program block code
To next
time slot
Sunburst
3 of 30
Rev 1.1
DAC 2009 SystemVerilog-2009 Presentation
by Sunburst Design, Beaverton, Oregon, ? 2009
7 of 59
SystemVerilog-2009
Display Enhancements
? 2009, Sunburst Design, Inc.
8 of 59
Field Widths in Print Formats
Mantis 1175
program print;
int a, b;
initial
repeat (8) begin
...
$display("a=%h
end
endprogram
Show all
leading 0's
$display
("a=%h
b=%h", a, b);
randcase
3: a =
2: a =
2: a =
2: a =
endcase
randcase
1: b =
2: b =
2: b =
1: b =
endcase
$display
b=%h" , a, b);
a=000071ec
a=00000003
a=00000ed4
a=00008fbb
a=00000003
a=000000b1
a=00000010
a=0000097a
b=000000fb
b=00000048
b=000000f4
b=00000860
b=0000003a
b=00004895
b=0000007c
b=000000da
$urandom_range( 5'h10);
$urandom_range( 9'h100);
$urandom_range(13'h1000);
$urandom_range(17'h10000);
$urandom_range( 5'h10);
$urandom_range( 9'h100);
$urandom_range(13'h1000);
$urandom_range(17'h10000);
$display
("a=%0h
b=%0h" , a, b);
a=71ec b=fb
a=3 b=48
a=ed4 b=f4
a=8fbb b=860
a=3 b=3a
a=b1 b=4895
a=10 b=7c
a=97a b=da
("a=%4h
Remove leading
0's (ragged display)
4-character field
with leading 0's
(orderly display)
b=%4h" , a, b);
a=71ec
a=0003
a=0ed4
a=8fbb
a=0003
a=00b1
a=0010
a=097a
b=00fb
b=0048
b=00f4
b=0860
b=003a
b=4895
b=007c
b=00da
? 2009, Sunburst Design, Inc.
4 of 30
Rev 1.1
DAC 2009 SystemVerilog-2009 Presentation
by Sunburst Design, Beaverton, Oregon, ? 2009
9 of 59
Print Format Specifier %x
Mantis 1749
%x is a synonym for %h
randcase
3: a =
2: a =
2: a =
2: a =
endcase
randcase
1: b =
2: b =
2: b =
1: b =
endcase
program print;
int a, b;
initial
repeat (8) begin
...
$display("a=%4x
b=%4x", a, b);
end
endprogram
a=71ec
a=0003
a=0ed4
a=8fbb
a=0003
a=00b1
a=0010
a=097a
Same orderly printout
b=00fb
b=0048
b=00f4
b=0860
b=003a
b=4895
b=007c
b=00da
$urandom_range( 5'h10);
$urandom_range( 9'h100);
$urandom_range(13'h1000);
$urandom_range(17'h10000);
$urandom_range( 5'h10);
$urandom_range( 9'h100);
$urandom_range(13'h1000);
$urandom_range(17'h10000);
%x is just "syntactic sugar"
(C-like - not really needed)
? 2009, Sunburst Design, Inc.
10 of 59
Print Format Specifier %p (%4p)
Mantis 331
package complex;
typedef struct {
logic [7:0] re;
logic [7:0] im;
} complex_s;
...
endpackage
Sized format
specifier %4p for
orderly printing
import complex::*;
module structprint;
complex_s a, b, sum;
logic clk;
initial begin
clk ................
................
In order to avoid copyright disputes, this page is only a partial summary.
To fulfill the demand for quickly locating and searching documents.
It is intelligent file search solution for home and business.
Related download
- esc 101 fundamentals of computing end semester
- function aliases extended inheritance opaque typedefs
- bessel functions of the first and second kind
- lecture 14 generic data structures
- the basics of c programming university of connecticut
- bindows documenting code
- jsdoc template typedef
- user de麍ned data types in rhapsody
- structures princeton university
- systemverilog is getting even better
Related searches
- is a do better than an md
- is online school better than public school
- is online education better than traditional
- is there a better word for and
- is public school better than private
- is even a transition word
- why is standard deviation better than variance
- is it better than or better then
- is brown sugar better than white sugar
- is brown rice better than white rice
- why is public school better than homeschool
- is getting an mba worth it