APPENDIX E: SYSTEM TASKS AND FUNCTIONS

Verilog contains the pre-defined system tasks and functions shown in Table 1, including tasks for creating output from a simulation. The role of each task is summarized, but the more frequently used tasks and functions are described in more detail. ................
................