VHDL Testbench Design - Auburn University Samuel Ginn College of ...
VHDL Testbench Design
Textbook chapters 2.19, 4.10-4.12, 9.5
The Test Bench Concept
Elements of a VHDL/Verilog testbench
Unit Under Test (UUT) ? or Device Under Test (DUT)
instantiate one or more UUT's
Stimulus of UUT inputs
algorithmic from arrays from files
Checking of UUT outputs
assertions write to files
Instantiating the UUT
-- 8 bit adder testbench entity adder_bench is -- no top-level I/O ports end adder_bench; architecture test of adder_bench is
component adder is -- declare the adder component port ( X,Y: in std_logic_vector(7 downto 0); Z: out std_logic_vector(7 downto 0) );
signal A,B,Sum: std_logic_vector(7 downto 0); --internal signals begin
UUT: adder port map (A,B,Sum); --instantiate adder as UUT
Algorithmic generation of stimulus
-- Generate test values for an 8-bit adder inputs A & B
process begin
for m in 0 to 255 loop
-- 256 addend values
A ................
................
In order to avoid copyright disputes, this page is only a partial summary.
To fulfill the demand for quickly locating and searching documents.
It is intelligent file search solution for home and business.
Related download
- systemverilog testbench tutorial 國立臺灣大學
- modelsim verilog tutorial introduction directory structure mit
- vivado tutorial xilinx
- tutorial working with verilog and the xilinx fpga in ise 9
- quartus ii testbench tutorial university of washington
- tasks functions and testbench
- a verilog hdl test bench primer cornell university
- using verilog for testbenches eth z
- verilog tutorial edu
- vhdl testbench design auburn university samuel ginn college of
Related searches
- university of minnesota college of education
- auburn university parent portal
- auburn university calendar
- auburn university financial aid
- auburn university academic calendar
- auburn university school schedule 2020
- auburn university calendar 2019 2020
- auburn university financial aid number
- auburn university baseball roster
- university of south florida college of medicine
- auburn university spring break 2020
- auburn university fafsa deadline