APPPPENDIX H: COMPILER DIRECTIVES

The syntax for the `undef. compiler directive is given below: Syntax: undefined_compiler_directive ::= `undef. text_macro_name `ifdef, `else, `endif. These compiler directives conditionally include lines of a Verilog source description in a compilation. The `ifdef. directive is used with a variable name. ................
................