Commonly Used VHDL Operators

Generic Parameters. Generic is a parameter used within the architecture that can be set upon instantiation of a module. It is declared in the entity block. By use of generic parameters, VHDL allows a design to be parameterized such that the specific timing, the number of bits and even wiring can be determined by the user. ................
................