Solutions to homework 04 and 05



Solutions to homework 04 and 05

----------------------------------------------------------------------------------

-- Module Name: SWTS_SSD - Behavioral

-- Project Name: SWTS_TO_SSD.ise

-- Target Devices: NEXYS2 board

-- Tool versions: ISE 10.1

-- Description: The Hex value of the buttons is displayed on the rightmost

-- disits of the seven segment display. The complement of the

-- buttons is displayed on the two left seven segment display

-- digits. Pressing a button will blank its digit.

-- Dependencies: n_parts_v0.vhd, nex.ucf

-- Created: 090128

-- Revision 0.02 - Homework 04

-- Additional Comments:

----------------------------------------------------------------------------------

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity SWTS_SSD is

Port ( CLK : in STD_LOGIC;

BTNS : in STD_LOGIC_VECTOR (3 downto 0);

SWTS : in STD_LOGIC_VECTOR (7 downto 0);

LEDS : out STD_LOGIC_VECTOR (7 downto 0);

AN : out STD_LOGIC_VECTOR (3 downto 0);

DP : out STD_LOGIC;

SEG : out STD_LOGIC_VECTOR (6 downto 0));

end SWTS_SSD;

architecture Behavioral of SWTS_SSD is

signal X: std_logic_vector(3 downto 0); -- ................
................

In order to avoid copyright disputes, this page is only a partial summary.

Google Online Preview   Download