SystemVerilog Ports and Interfaces

Abstract The SystemVerilog adds various kinds of the port connection enhance-ments, interfaces and the modports. These are the powerful constructs which are used during the design and verification. In this scenario, the chapter discusses about the module instantiation, interfaces, modports, semaphore and the mailboxes. Keywords Interface ... ................
................